Gurindar S. Sohi

Gurindar S. Sohi (* 1960) ist ein indisch-US-amerikanischer Computeringenieur. Er ist Professor an der University of Wisconsin–Madison.

Sohi wurde 1985 an der University of Illinois in Elektrotechnik und Informatik bei Edward Davidson promoviert (BLAST: A Machine Architecture for High-Speed List Processing Using Associative Tables)[1] und ist seit 1985 an der University of Wisconsin in Madison, an der er von 2004 bis 2008 der Informatikfakultät vorstand.

Sohi befasste sich schon in den 1980er Jahren mit Out-of-order execution in Mikroprozessoren. Damals veröffentlichte er einige einflussreiche Arbeiten, die die Basis für spätere superskalare kommerzielle Hochleistungsmikroprozessoren in den 1990er Jahren und danach wurden.[2] Anfang der 1990er Jahre propagierte er das Konzept der Multiskalar-Prozessoren[3] und der Thread Level Speculation (Ausführung von sequentiellen Programmen parallel in mehreren Prozessorkernen) und 1997 Memory dependence prediction[4], die zum Beispiel im Alpha-Prozessor verwendet wird. Seine Arbeit über Speicher-Abhängigkeiten in superskalaren Prozessoren war einflussreich im Übergang von Blocking-Caches zu Non-Blocking Caches.[5] 1997 schlug er das Konzept der Wiederverwendung von Instruktionen (Instruction Reuse) vor.[6]

Er ist IEEE Fellow sowie Fellow der Association for Computing Machinery (ACM), der National Academy of Engineering und seit 2018 der American Academy of Arts and Sciences[7]. 2011 erhielt er den Eckert-Mauchly Award für die Einführung verbreiteter Mikroarchitekturtechniken für Parallelrechnen auf Instruktions-Ebene.[8]

1999 erhielt den Maurice Wilkes Award der ACM SIGARCH für grundlegende Beiträge zu Hochleistungsprozessoren und Parallelrechnen auf Instruktions-Ebene.[9]

Schriften

  • Herausgeber: 25 Years of the International Symposium on Computer Architecture - Selected Papers, ACM 1998
  • Herausgeber mit Mark Hill, Norm Jouppi: Readings in Computer Architecture, Morgan Kaufmann Publishers 2000
  • mit J. R. Goodman Memory Systems, The handbook of electrical engineering, CRC Press 1993
  • mit James E. Smith The microarchitecture of superscalar processors, Proc. IEEE, Dezember 1995
  • mit Andreas Moshovos Micro-Architectural Innovations: Boosting Processor Performance Beyond Technology Scaling, Proceedings of the IEEE, Band 89, 2001, Nr. 11

Weblinks

Einzelnachweise

  1. Gurindar S. Sohi im Mathematics Genealogy Project (englisch) Vorlage:MathGenealogyProject/Wartung/id verwendet
  2. Sohi, S. Vajapeyam Instruction Issue Logic for High-Performance, Interruptible Pipelined Processor, 14th annual international symposium on Computer architecture (ISCA 87), 1987, S. 27–34, weiter ausgeführt in IEEE Trans. Computers, März 1990
  3. Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi Multiscalar Processors, ISCA 1995
  4. Andreas Moshovos, Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi Dynamic Speculation and Synchronization of Data Dependences, ISCA 1997
  5. Manoj Franklin, Sohi High Bandwidth Data Memory Systems for Superscalar Processors, International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 1991
  6. Sohi, Avinash Sodani Dynamic instruction reuse, 24. ISCA 1997
  7. Book of Members 1780–present, Chapter S. (PDF; 1,3 MB) In: amacad.org. American Academy of Arts and Sciences, abgerufen am 7. Oktober 2018 (englisch).
  8. Laudatio: For pioneering widely used micro-architectural techniques for instruction-level parallelism
  9. Laudatio: for seminal contributions in the areas of high issue rate processors and instruction level parallelism