AMD Fusion

AMD Fusion
Produktion:seit 2011
Produzenten:
Prozessortakt:1,0 GHz bis 4,1 GHz
Befehlssatz:x86-64 (AMD64)
Mikroarchitektur:Bobcat, K10, Jaguar, Bulldozer und Piledriver, Steamroller und Excavator
Sockel:
Namen der Prozessorkerne:
  • Bobcat
  • Husky (Llano)
  • Piledriver (Trinity, Richland)
  • Jaguar
  • Steamroller (Kaveri, Godavari)
  • Excavator (Carrizo, Bristol Ridge, Stoney Ridge)
  • Zen (Raven Ridge)

AMD Fusion ist der Code- und Markenname eines Prozessorkonzepts, das CPU und GPU sowie Video- und andere Hardwarebeschleuniger auf einem Die vereinigt. Es ist das Ergebnis der Übernahme ATIs durch AMD.[1] AMD nennt diese Konstruktion Accelerated Processing Unit (APU). Erste Modelle basierend auf diesem Konzept für den Einsatz in Netbooks und ähnlichen Geräten wurden im Januar 2011 vorgestellt,[2] weitere folgten im Verlauf des Jahres 2011. Sie sind Teil des HSA-Programms der HSA Foundation.[3]

Geschichte

AMD demonstrierte seine erste Fusion-APU am 1. Juni 2010 auf der Computex. Die Demonstration umfasste u. a. eine kurze Einspielung, die einen Ausschnitt aus dem 3D-Spiel Aliens vs. Predator zeigte, das auf einem Ontario-System in Echtzeit gelaufen sein soll.[4]

Konkrete Produkte in Form der E- und C-Serien wurden am 4. Januar 2011 vorgestellt.[2] Die Llano-Serie für Notebooks wurde am 14. Juni 2011 präsentiert.[5]

Am 15. Mai 2012 wurde die zweite Generation der mobilen A-Serie namens Trinity (basierend auf dem Piledriver-Prozessorkern der Bulldozer-Architektur) publik gemacht. Diese löst die Llano-Serie ab, die noch auf der alten K10-Architektur beruht.[6]

Marketing

Die Prozessoren oder APUs haben keine Marketingnamen, wie früher etwa mit Phenom oder Athlon üblich. Einziger Markenname in der Prozessorbezeichnung ist „AMD“. Für alle AMD-Systeme gibt es aber noch den AMD Vision-Marketingnamen. Je nach Leistung und Funktionsumfang werden entsprechende Vision-Sticker auch um Zusätze wie „Smart HD“, für günstige und schwächere Versionen, über „Brilliant HD Everyday“ und „Brilliant HD Entertainment“, für den unteren Massenmarkt, bis hin zu „Brilliant HD Performance“, für den oberen „Mainstream“-Bereich ergänzt.

Technische Umsetzung des Konzepts

Kernaspekt der Fusion-Technologie ist die direkte Verbindung wesentlicher Systemkomponenten – x86/AMD64-Prozessorkerne, Vector Engines (SIMD) und Unified Video Decoder (UVD) für High Definition-Videowiedergabe – über denselben High-Speed-Bus mit dem Systemhauptspeicher (Random-Access Memory oder RAM). Die Architektur soll so einige Nachteile umgehen, die mit integrierten Grafikprozessoren (IGPs) in bisherigen Einzelchip-Lösungen verbunden sind, wie höhere Speicherlatenz und Energieaufnahme sowie geringere Laufzeiten im Akkubetrieb.[7] AMD nennt diese Konstruktion Accelerated Processing Unit. Die Mehrkernprozessoren sollen einen oder mehrere Hauptprozessor-Kerne (CPU) und mindestens einen zusätzlichen Prozessor für spezielle Aufgaben enthalten, vorerst einen Grafikprozessor (GPU).[8] Diese Kombination soll dann besser zusammenarbeiten.

Bisher gibt es seitens AMD folgende Umsetzungen des Fusion-Konzepts für unterschiedliche Bereiche:

Subnotebooks und Tablets, Netbooks und Nettops

Ontario und Zacate (Bobcat-Architektur)

Bobcat ist der Codename für die Architektur eines Zweikernprozessors mit integrierter GPU und Northbridge, der für geringen Stromverbrauch und kleinen Preis optimiert wurde und deshalb über vergleichsweise geringe Rechenleistung verfügt. Einsatzbereiche sind günstige Systeme wie Netbooks und Nettops sowie Geräte, welche besonders niedrige Verlustleistung aufweisen sollen, etwa Subnotebooks und Tablets. Bei Bobcat handelt es sich im Gegensatz zum Konkurrenzprodukt Intel Atom um eine effizientere Out-of-Order-Prozessorarchitektur, welche die Basis für AMDs Ontario- und Zacate-APUs bildet, die in den Serien C, E und G (AMD Family 14h Processor) verwendet werden.[9]

Kabini und Temash (Jaguar-Architektur)

Die Jaguar-Architektur löst die auf Bobcat basierenden Prozessoren ab. Sie bildet die Basis für AMDs Kabini- und Temash-APUs der Serien A und E. Mit dieser Generation wird auf TSMCs 28-Nanometer-Bulkprozess umgestellt, der eine Kernfläche von 3,1 mm² erlaubt (zum Vergleich: Bobcat in 40-nm-Fertigung 4,9 mm²)[10] und somit Energieaufnahme sowie die Fläche pro Kern reduziert. Dies ermöglicht bis zu vier Kerne, die in einem sogenannten Modul zusammengefasst werden können. Durch die Modularität dieses Systems kann man besser auf Kundenwünsche eingehen (siehe Xbox One und PlayStation 4). Die Größe des Prozessorcaches, den sich alle Kerne teilen (shared), steigt auf 2 MB an und die Gleitkommaeinheit arbeitet mit 128-Bit Datenbreite. Gekoppelt werden die Jaguar-Kerne mit der Grafik-Architektur „Graphics Core Next“ („GCN“), sodass deutlich mehr Grafikleistung zur Verfügung steht als bei den Vorgängern auf Basis der VLIW-Architektur.

Mit Jaguar unterstützt AMD erstmals in Low-Voltage-Prozessoren den kompletten SSEx-Befehlssatz sowie auch AES und AVX. Diese Befehlssätze waren vorher nur den großen Architekturen wie Bulldozer (SSEx, AES und AVX) oder K10 (nur SSE4a) vorbehalten. Die IPC (Instructions per Cycle) sollen um ca. 15 % steigen.[11][12]

Beema und Mullins (Puma-Architektur)

Mit der Puma-Architektur zielt AMD darauf ab, den Stromverbrauch gegenüber Jaguar weiter zu senken, ohne dabei Einbußen bei der Leistung hinzunehmen. Die Fertigung erfolgt weiter in 28 Nanometern, allerdings nicht länger im Gate-Last-Verfahren bei TSMC, sondern von GlobalFoundries.[13] Verfeinerungen in der Fertigung und beim Design sorgen aber für einen reduzierten Leckstrom und geringere Leistungsaufnahme beim Rechnen.[14] Als Alternative zu Intels Trusted Execution Technology integriert AMD die TrustZone-Technologie aus der ARM-Welt. Zu diesem Zweck verfügt der Chip über einen ARM Cortex-A5.

Notebooks und Desktops

Llano (K10-Architektur, Husky-Kern)

Llano ist der Codename für eine Prozessorarchitektur mit integrierter GPU und Northbridge, die für den unteren „Mainstream“-Bereich konzipiert ist und in Notebooks und Desktop-Rechnern zum Einsatz kommt. Diese wird von AMD als AMD Family 12h Processors eingeordnet.[15][16] Die Fusion-Llano-APU kombiniert zwei bis vier Husky-Prozessorkerne der K10-Generation (AMD Family 10h Processor) mit Kompatibilität zu x86-Befehlssätzen und zur x64-Architektur, sowie einen DirectX-11-kompatiblen Grafikkern, wie er bei Radeon-HD-5570-Karten zu finden ist. Anders als bei der Radeon HD 5570 wurde bereits UVD 3.0 statt UVD 2.0 im Grafikchip integriert.

Die parallele Rechenleistung des GPU-Teils soll neben der Grafikbeschleunigung über Programmierschnittstellen wie OpenCL, WebGL, AMD APP (früher „ATI Stream“-SDK)[17] und Microsoft DirectCompute, die serielle der Prozessorkerne gerade im Gleitkommabereich ergänzen.[18]

Obwohl die skalaren x86-Kerne und die SIMD-Engines der APUs einen gemeinsamen Pfad zum Systemspeicher teilen, ist bei dieser ersten Generation der Speicher noch in verschiedene Regionen getrennt. Zum einen gibt es den vom Betriebssystem verwalteten Speicherbereich, welcher auf den x86-Kernen läuft, zum anderen die von der Software, welche auf den SIMD-Engines ausgeführt wird, verwalteten Speicherregionen. Für den Datenaustausch zwischen beiden Teilen hat AMD High-Speed-Block-Transfer-Engines eingerichtet. Im Gegensatz zu Datenübertragungen zwischen externen Framebuffern und Systemhauptspeicher sollen diese Transfers nie den (externen) Systembus belegen.[19]

Trinity (Piledriver-CPU-Kern)

Die APUs mit Codenamen Trinity ersetzen die Llano-Reihe mit K10-Innenleben. Die neuere Architektur mit GPU und Northbridge, ebenfalls für den Massenmarkt ausgelegt, wird gleichfalls in Notebooks und Desktops verwendet. Sie kombiniert Prozessortechnik der Piledriver-CPUs (einer optimierten Version der Bulldozer-Architektur (AMD Family 15h Processor)), ausgelegt als Module, mit aktuelleren Radeon-HD-GPUs. Hierbei handelt es sich um Kerne ähnlich den Radeon HD 7350 bis 7670 der AMD-Radeon-HD-7000-Serie. Marktstart für die Notebook-CPUs war der 15. Mai 2012, die Desktop-CPUs wurden am 2. Oktober 2012 vorgestellt.[20][21]

Richland (Piledriver-CPU-Kern)

Die Richland-APUs lösen ihre Vorgänger auf Trinity-Basis ab. Trotz neuen Codenamens setzen sie auf dieselbe Architektur und bieten nur ein neues Stepping. Die GPUs basieren auf der VLIW4-Architektur, die auch als TeraScale 3 bekannt ist. Technisch entsprechen sie den Grafikkarten der HD6900-Serie mit dem Codenamen "Northern Islands".

Kaveri (Steamroller-CPU-Kern)

Die Kaveri-APUs folgen den Trinity- und der Richland-Reihen nach. Sie wurden für den 14. Januar 2014 angekündigt und enthalten die neue Steamroller-Architektur, welche eine weitreichende Überarbeitung der Bulldozer-Architektur darstellt. Die integrierte GPU wird auf die GCN-Architektur, wie sie mit dem Bonaire-Chip in der Radeon HD7790 im März 2013 vorgestellt wurde, umgestellt. Es sollen im Laufe des Jahres 2014 APUs für Desktoprechner (mit Sockel FM2+), Notebooks, im Embedded- und im Server-Bereich auf den Markt kommen.[22]

In moderaten Auflösungen wie 720p laufen Spiele auf einem Kaveri-System zumeist flüssig mit 40 und mehr FPS.[23] Voraussetzung hierfür ist ein schneller Hauptspeicher (Dual-Rank DDR3-RAM ab ca. 2400-MHz-Takt), da hier die Speicher-Bandbreite der limitierende Faktor ist.[24] Ein weiterer Leistungsschub soll sich mit der neuen Grafikschnittstelle AMD Mantle im Catalyst-Grafiktreiber ergeben.[25]

Carrizo-L (Puma+-Architektur)

Die Puma+-Architektur stellt eine kleine Evolution zur Puma-Architektur dar und bietet leicht erhöhte Taktraten.[26] Die Chips finden auf dem neuen FP4-Sockel Platz und besitzen je 128-Shader.

Technische Daten – Nettops, Netbooks, Subnotebooks, Tablets

Bobcat-basierte Modelle (Zacate, Ontario)

Die verfügbare Speicherbandbreite (1-Kanal DDR3-1066 oder DDR3-1333 mit 64-Bit-Speicherbreite) wird von CPU und GPU im konkurrierenden Zugriff geteilt. Die eigentliche Chipfläche (die size) liegt zwischen 75 und 77 mm².

RAM-Bus-Bandbreite
SpeicherartDatenrateTaktfrequenz
PC3-8500 DDR3-106608,5 GB/s533 MHz
PC3-10600 DDR3-133310,6 GB/s667 MHz

Zacate, E-Serie

Zacate ist der AMD-Codename für eine 18-Watt-APU für den Mainstream-Notebookmarkt in 40-nm-Technik. Die Modelle haben gegenüber der C-Serie einen höheren Takt sowohl für den Prozessor als auch für den Grafikkern.

Modell-
Nummer
CPU-
Kerne
TaktL2-CacheMulti1VcoreGPU-ModellGPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
SPsTMUsROPs
E-24011,5 GHz512 kB15 × 1,175-1,35HD 63108084500 MHzDDR3-106618 WNeinBGA-4134. Januar, 2011
E-30021,3 GHz2 × 512 kB13 ×N/AHD 6310488 MHzDDR3-106618 WNein22. August 2011
E-3501,6 GHz16 × 1,25-1,35HD 6310500 MHzDDR3-106618 W4. Januar 2011
E-4501,65 GHz16,5N/AHD 6320508 (600) MHzDDR3-133318 WJa22. August 2011
E1-12001,4 GHz14N/AHD 7310500 MHzDDR3-106618 WNeinQ3 2012
E1-15001,48 GHz14,8N/AHD 7310529 MHzDDR3-106618 WQ1 2013[27]
E2-18001,7 GHz171,25-1,35HD 7340523 (680) MHzDDR3-133318 WJaQ3 2012
E2-20001,75 GHz17,5N/AHD 7340538 (700) MHzDDR3-133318 WQ1 2013[28]
1 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Ontario, C-Serie

Netbook mit AMD Dual-Core C-50: Acer Aspire One 522
AMD C-60

Ontario ist der Codename für eine Dual-Core-System-on-a-Chip-Implementierung in 40-nm-Technik. Die APU integriert den Bobcat-Prozessorkern und ist für ultradünne Notebooks, Netbooks und andere Produkte unterhalb der 20-Watt-Grenze gedacht.[29][30] In einer Ontario-APU stecken ein oder zwei Bobcat-Prozessorkerne und ein DirectX-11-Grafikkern mit 280 MHz. Das BGA-Gehäuse des für Mobilgeräte optimierten Ontarios ist zum Auflöten auf Mainboards für Thin-and-Light-Notebooks und Netbooks ausgelegt.[31]

Anfang 2011 wurde die Serie mit zwei Modellen eingeführt. Die Singlecore-Version C-30 hat dabei einen Takt von 1,2 GHz für den Prozessorkern, die Dualcore-Version C-50 von 1 GHz für beide Kerne.[2] Die Dualcore-Versionen C-60 und C-70 haben nach bisheriger Kenntnis exakt gleiche technische Daten. Laut AMD wurde neben dem APU-Namen einzig das Radeon-Branding der GPU auf dem SoC geändert, um es in Einklang mit den anderen Produkten zu bringen.[32]

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-
Konfiguration
GPU-
Takt
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
SPsTMUsROPs
C-3011,2 GHz512 kB12 × 1,25–1,35HD 62508084280 MHz9 WNeinBGA-4134. Januar 2011
C-5021,0 GHz2 × 512 kB10 × 1,05–1,35HD 6250280 MHz4. Januar 2011
C-601,0 (1,33) GHz10 × N/AHD 6290276–400 MHzJa22. August 2011
C-701,0 (1,33) GHz10 × N/AHD 7290276–400 MHz15. September 2012
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Ontario, Embedded-G-Serie

Mit der Embedded-G-Serie-Plattform macht AMD die Fusion-Technologie Anfang 2011 für Embedded-Systeme verfügbar, dabei handelt es sich um weitere Ontario-Versionen. Die APUs integrieren auf einer Package-Fläche von 361 mm² (19 × 19 mm)[33] ein oder zwei 64-Bit-Prozessorkerne der Bobcat-Klasse sowie eine DirectX-11-fähige Grafikeinheit, die auch als Vektorprozessor genutzt werden kann.[34] Seit März 2011 bietet AMD auch sogenannte „Headless“-Varianten für eingebettete Systeme ohne Grafikausgabe an; diese besitzen dieselbe Package-Fläche von 361 mm².[35][36]

Modell-
Bezeichnung[36]
Taktung
in GHz
Anzahl
der Kerne
L2-CacheGrafikSpeicher-
Typ
Max. TDP
in Watt
Turbo
Core
[36]
T16R0,6151512 kBAMD Radeon™ HD 6250LV DDR3-10664,5Nein
T24L1,0512 kBLV DDR3-10665
T30L1,4512 kBDDR3-106618
T40R1,0512 kBAMD Radeon™ HD 6250LV DDR3-10665,5
T40E1,022 × 512 kBAMD Radeon™ HD 6250LV DDR3-10666,4
T40N1,0AMD Radeon™ HD 6250LV DDR3-10669Ja
T44R1,21512 kBAMD Radeon™ HD 6250LV DDR3-10669Nein
T48L1,422 × 512 kBDDR3-106618
T48E1,4AMD Radeon™ HD 6250DDR3-106618
T48N1,4AMD Radeon™ HD 6310DDR3-106618
T52R1,51512 kBAMD Radeon™ HD 6310DDR3-133318
T56E1,6522 × 512 kBAMD Radeon™ HD 6250DDR3-133318Ja
T56N1,65AMD Radeon™ HD 6310DDR3-133318

Jaguar-basierte Modelle (Kabini, Temash)

Kabini, A- und E-Serie

Modell-
Nummer
CPU-
Kerne
TaktL2-CacheMulti1VcoreGPU-ModellGPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
ALUsShader-
Einheiten
TMUsROPs
E1-210021,00 GHz2 × 512 kB10N/AHD 8210128Vec16-SIMD--300 MHzDDR3L-13339 WNeinBGAQ3 2013
E1-22001,05 GHz10,5HD 8210300 MHzQ4 2013[10]
E1-25001,40 GHz14HD 8240400 MHz15 WQ3 2013
E2-30001,65 GHz16,5HD 8280450 MHzDDR3L-160015 WQ3 2013[37]
E2-380041,30 GHz4 × 512 kB13HD 8280450 MHzDDR3L-160015 WQ4 2013[10]
A4-50001,50 GHz15HD 8330500 MHzQ3 2013[38]
A4-51001,55 GHz15,5HD 8330500 MHzQ4 2013[39]
A6-52002,00 GHz20HD 8400600 MHz25 WQ3 2013[38]
1 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Temash, A-Serie

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
ALUsShader-
Einheiten
TMUsROPs
A4-1200[40]21,00 GHz2 × 512 kB10N/AHD 81801288x Vec16-SIMD--225 MHzDDR3L-10663,9 WNeinFT3 (BGA)23.05.2013[41]
A4-1250[40]1,00 GHz10HD 8210300 MHzDDR3L-13338 W23.05.2013[41]
A4-1350[40]41,00 GHz4 × 512 kB10HD 8210300 MHzDDR3L-10668 W9.11.2013[42]
A6-1450[40]1,00 (1,40) GHz10 (14)HD 8250300 (400) MHzDDR3L-10668 WJa23.05.2013[41]
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Technische Daten – Desktop und Notebook

Jaguar-basierte Modelle (Kabini)

Desktopmodelle Athlon und Sempron

Modell-
Nummer
CPU-
Kerne
TaktL2-CacheMulti1VcoreGPU-ModellGPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
ALUsShader-
Einheiten
TMUsROPs
Sempron 265021,45 GHz2 × 512 kB14,5N/AHD 82401288x Vec16-SIMD84400 MHzDDR3(L)-133325 WNeinAM109.04.2014
Sempron 385041,30 GHz4 × 512 kB13HD 8280450 MHzDDR3(L)-160009.04.2014
Athlon 51501,60 GHz16HD 8400600 MHz09.04.2014
Athlon 53502,05 GHz20,5HD 8400600 MHz09.04.2014
Athlon 53702,20 GHz22,0HD 8400600 MHz02.02.2016
1 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Husky-basierte Modelle (Llano)

Llano, A- und E-Serie

Eine AMD A6-3650 APU

Die APUs der Serien A und E wurden im Sommer 2011 veröffentlicht. Sie sind vorrangig für Mainstream- und Low-End-Systeme im Notebook- und Desktop-Segment vorgesehen.[29] Auf einem Silizium-Die sind zwei bis vier x86-Architektur/AMD64-Husky-CPU-Kerne beruhend auf der K10-Architektur mit verbessertem Speichercontroller und einem DirectX-11-fähigen Grafikprozessor vereint.[43]

Die APU wird in einem 32-nm-SOI-Prozess von Globalfoundries gefertigt und strebt die gleichen Zielmärkte an wie die Athlon-II-Linie.[44] Des Weiteren besitzt der Kombiprozessor einen integrierten PCIe-2.0-, einen Dual-Channel-DDR3-1600-Speichercontroller sowie 1 MB L2-Cache pro Kern,[44] jedoch keinen L3-Cache. Bei Bestückung des Mainboards mit nur einem Speichermodul pro Kanal ist der Speichercontroller der Desktopprozessoren aus der A8- und A6-Serie auch für DDR3-1866 spezifiziert, bei mobilen Prozessoren mit bis zu 35 W TDP ist maximal DDR3-1333 vorgesehen. Die Kommunikation mit dem Chipsatz/der Southbridge erfolgt über das Unified Media Interface (UMI), welches auf PCIe basiert, mit 5 GT/s (Gigatransfers/Sekunde).

Modelle für den Desktop

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-KonfigurationGPU-
Takt
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
SPsShader-
Einheiten
Textur-
einheiten
ROPs
E2-320022,4 GHz2 × 512 kB24 × N/AHD 6370D16032x5D-VLIW84444 MHz65 WNeinFM1Q3/2011
A4-33002,5 GHz25 × HD 6410D444 MHz65 WQ3/2011
A4-34002,7 GHz27 × HD 6410D600 MHz65 WQ3/2011
A4-34202,8 GHz28 × HD 6410D600 MHz65 WQ4/2011
A6-350032,1 (2,4) GHz3 × 1 MB21 × N/AHD 6530D32064x5D-VLIW168444 MHz65 WJaQ3/2011
A6-360042,1 (2,4) GHz4 × 1 MB21 × N/AHD 6530D32064x5D-VLIW16444 MHz65 WQ3/2011
A6-36202,2 (2,5) GHz22 × N/AHD 6530D444 MHz65 WQ4/2011
A6-36502,6 GHz26 × 1,4125 VHD 6530D444 MHz100 WNeinQ3/2011
A6-3670K2,7 GHz27 × (offen)N/AHD 6530D444 MHz100 WQ4/2011
A8-38002,4 (2,7) GHz24 × N/AHD 6550D40080x5D-VLIW20600 MHz65 WJaQ3/2011
A8-38202,5 (2,8) GHz25 × N/AHD 6550D600 MHz65 WQ4/2011
A8-38502,9 GHz29 × 1,4125 VHD 6550D600 MHz100 WNeinQ3/2011
A8-3870K3,0 GHz30 × (offen)1,4125 VHD 6550D600 MHz100 WQ4/2011
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Modelle für Notebooks

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-KonfigurationGPU-
Takt
TDPTurbo
Core
Prozessor-
Sockel
Marktstart
SPsShader-
Einheiten
Textur-
einheiten
ROPs
E2-3300M21,8 (2,2) GHz2 × 512 kB18 × N/AHD 6380G16032x5D-VLIW84444 MHz35 WJaFS1 uPGAQ3/2011
A4-3300M1,9 (2,5) GHz2 × 1 MB19 × HD 6480G24048x5D-VLIW444 MHz35 WQ2/2011
A4-3305M1,9 (2,5) GHz2 × 512 kB19 × HD 6480G16032x5D-VLIW593 MHz35 WQ4/2011
A4-3310MX2,1 (2,5) GHz2 × 1 MB21 × HD 6480G24048x5D-VLIW444 MHz45 WQ2/2011
A4-3320M2,0 (2,6) GHz20 × HD 6480G444 MHz35 WQ4/2011
A4-3330MX2,2 (2,6) GHz22 × HD 6480G444 MHz45 WQ4/2011
A6-3400M41,4 (2,3) GHz4 × 1 MB14 × HD 6520G32064x5D-VLIW168400 MHz35 WQ2/2011
A6-3410MX1,6 (2,3) GHz16 × HD 6520G400 MHz45 WQ2/2011
A6-3420M1,5 (2,4) GHz15 × HD 6520G400 MHz35 WQ4/2011
A6-3430MX1,7 (2,4) GHz17 × HD 6520G400 MHz45 WQ4/2011
A8-3500M1,5 (2,4) GHz15 × HD 6620G40080x5D-VLIW20444 MHz35 WQ2/2011
A8-3510MX1,8 (2,5) GHz18 × HD 6620G444 MHz45 WQ2/2011
A8-3520M1,6 (2,5) GHz16 × HD 6620G444 MHz35 WQ4/2011
A8-3530MX1,9 (2,6) GHz19 × HD 6620G444 MHz45 WQ2/2011
A8-3550MX2,0 (2,7) GHz20 × HD 6620G444 MHz45 WQ4/2011
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Piledriver-basierte Modelle (Trinity, Richland)

Trinity und Richland, A-Serie

Diese zweite Generation der A-Serie wurde am 15. Mai 2012 (mobile Prozessoren)[45] und die Desktopmodelle am 2. Oktober 2012 (Desktop)[46] veröffentlicht bzw. auf der Computex 2012 angekündigt (Desktop).[47] Ihr liegt die Bulldozer-Architektur mit Piledriver-Kernen zugrunde. Der GPU-Teil verwendet ein 4D-VLIW-Shader-Design, das mit den Radeon-HD-6900-Grafikkarten vorgestellt wurde. Modelle mit angehängtem „K“ in der Bezeichnung verfügen über einen offenen Multiplikator, lassen sich also über- oder untertakten. CPUs mit deaktivierter Grafikeinheit werden unter dem Namen „Athlon II“ vermarktet.

Am 12. März 2013 stellte AMD die 2. Generation Piledriver-basierter APUs (also die 3. Generation der A-Serie) mit Namen Richland vor. Durch eine Technik namens "Resonant Clock Mesh" konnten die Taktraten sowohl der CPU als auch der GPU gesteigert werden.

Modelle für den Desktop

Modell-
Nummer
Module/
Integercluster/
Threads
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-KonfigurationGPU-
Takt (Turbo)
TDPTurbo
Core
3.0
Prozessor-
Sockel
MarktstartSpeicher-
controller
Codename
SPsShader-
Einheiten
Textur-
einheiten
ROPs
Athlon II X2 3401/2/23,2 (3,6) GHz1 × 1 MBN/AN/Adeaktiviert65 WJaFM2Q4/20121600 MHzTrinity
Athlon II X2 370K4,0 (4,2) GHz65 WQ2/20131866 MHzRichland
Athlon II X4 7302/4/42,8 (3,2) GHz2 × 2 MB65 WQ4/2012Trinity
Athlon II X4 7403,2 (3,7) GHz65 WQ4/2012
Athlon II X4 750K3,4 (4,0) GHz100 WQ4/2012
Athlon II X4 760K3,8 (4,1) GHz100 WQ2/2013Richland
A4-40001/2/23,0 (3,2) GHz1 × 1 MBHD 7480D12832x4D88720 (N/A) MHz65 WQ2/2013 (OEM)1333 MHz
A4-40203,2 (3,4) GHz720 (N/A) MHz65 WQ1/2014
A4-53003,4 (3,6) GHz724 (N/A) MHz65 WQ3/2012 (OEM)1600 MHzTrinity
A4-63003,7 (3,9) GHzHD 8370D760 (N/A) MHz65 WQ2/2013 (OEM)1866 MHzRichland
A4-63203,8 (4,0) GHz760 (N/A) MHz65 WQ1/2014
A4-73003,8 (4,0) GHzHD 8470D19248x4D12800 (N/A) MHz65 WQ3/20141600 MHz
A6-5400K3,6 (3,8) GHzHD 7540D760 (N/A) MHz65 WQ3/2012 (OEM)1866 MHzTrinity
A6-6400K3,9 (4,1) GHzHD 8470D800 (N/A) MHz65 WQ2/2013 (OEM)Richland
A6-6420K4,0 (4,2) GHz800 (N/A) MHz65 WQ1/2014
A8-55002/4/43,2 (3,7) GHz2 × 2 MBHD 7560D25664x4D16760 (N/A) MHz65 WQ3/2012 (OEM)1866 MHzTrinity
A8-5600K3,6 (3,9) GHz760 (N/A) MHz100 WQ3/2012 (OEM)
A8-6500T2,1 (3,1) GHzHD 8550D720 (N/A) MHz45 WQ3/20131600 MHzRichland
A8-65003,5 (4,1) GHzHD 8570D844 (N/A) MHz65 WQ2/2013 (OEM)1866 MHz
A8-6600K3,9 (4,2) GHz844 (N/A) MHz100 WQ2/2013 (OEM)
A10-57003,4 (4,0) GHzHD 7660D38496x4D24760 (N/A) MHz65 WQ3/2012 (OEM)1866 MHzTrinity
A10-5800K3,8 (4,2) GHz800 (N/A) MHz100 WQ3/2012 (OEM)
A10-6700T2,5 (3,5) GHzHD 8650D720 (N/A) MHz45 WQ3/2013Richland
A10-67003,7 (4,3) GHzHD 8670D844 (N/A) MHz65 WQ2/2013 (OEM)
A10-6790K4,0 (4,3) GHz844 (N/A) MHz100 WQ4/2013 (OEM)
A10-6800K4,1 (4,4) GHz844 (N/A) MHz100 WQ2/2013 (OEM)2133 MHz
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Quellen[48][49][50][51][52]

Modelle für Notebooks

Modell-
Nummer
Module/
Integercluster/
Threads
Takt
(max. Turbo)1
L2-CacheMulti2VcoreGPU-ModellGPU-KonfigurationGPU-
Takt (Turbo)
TDPTurbo
Core
3.0
Prozessor-
Sockel
MarktstartCodename
SPsShader-
Einheiten
Textur-
einheiten
ROPs
A4-4300M1/2/22,5 (3,0) GHz1 MBN/AN/AHD 7420G19248x4DN/AN/A470 (640) MHz35 WJaFS1r22012Trinity
A4-4355M1,9 (2,4) GHzN/A (ULV)HD 7400G327 (424) MHz17 WFP22012
A4-5145M2,0 (2,6 GHz)N/AHD 8310G128N/A424 (554) MHz17 WFP2Q2/2013Richland
A4-5150M2,7 (3,3 GHz)N/AHD 8350G514 (720) MHz35 WFS1r1Q2/2013
A6-4400M2,7 (3,2) GHzN/AHD 7520G19248x4D497 (686) MHz35 WFS1r2Q2/2012Trinity
A6-4455M2,1 (2,6) GHz2 MBN/A (ULV)HD 7500G25664x4D327 (424) MHz17 WFP2Q2/2012
A6-5345M2,2 (2,8) GHz1 MBN/AHD 8410G19248x4D450 (600) MHz17 WFP2Q2/2013Richland
A6-5350M2,9 (3,5) GHzN/AHD 8450G533 (720) MHz35 WFS1r2Q2/2013
A6-5357M2,9 (3,5) GHzN/AHD 8450G533 (720) MHz35 WFPr2Q2/2013
A8-4500M2/4/41,9 (2,8) GHz2 × 2 MBN/AN/AHD 7640G25664x4DN/A497 (655) MHz35 WFS1r2Q2/2012Trinity
A8-4555M1,6 (2,4) GHzN/A (ULV)HD 7600G38496x4D8320 (424) MHz19 WFP2Q3/2012
A8-5545M1,7 (2,7) GHzN/AHD 8510GN/A450 (554) MHz19 WFP2Q2/2013Richland
A8-5550M2,1 (3,1) GHzN/AHD 8550G25664x4DN/A515 (720) MHz35 WFS1r2Q2/2013
A8-5557M2,1 (3,1) GHzN/AHD 8550GN/A554 (720) MHz35 WFP2Q2/2013
A10-4600M2,3 (3,2) GHzN/AHD 7660G38496x4D8497 (686) MHz35 WFS1r2Q2/2012Trinity
A10-4655M2,0 (2,8) GHzN/A (ULV)HD 7620G8360 (496) MHz25 WFP2Q2/2012
A10-5745M2,1 (2,9) GHzN/AHD 8610G8533 (626) MHz25 WFP2Q2/2013Richland
A10-5750M2,5 (3,5) GHzN/AHD 8650G8533 (720) MHz35 WFS1r2Q2/2013
A10-5757M2,5 (3,5) GHzN/AHD 8650GN/A600 (720) MHz35 WFP2Q2/2013
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Steamroller-basierte Modelle (Kaveri, Kaveri-Refresh)

Die vierte Generation der A-Serie wurde am 12. November 2013 auf der AMD-Entwicklerkonferenz APU 13 angekündigt,[22] Einführung war am 14. Januar 2014. Den Anfang macht im Desktopbereich der A10-7850K, der mit zwei Steamroller-CPU-Modulen vier Threads parallel verarbeitet.

Der GPU-Teil besitzt eine Radeon-Grafikeinheit vergleichbar denen der R7-Modelle der AMD-Radeon-R200-Serie („Volcanic Islands“). Sie basiert auf der GCN-Architektur („Graphics Core Next“) der Version 1.1. Diese wurde mit dem Bonaire-Chip, der AMD-Radeon-HD-7000-Serie eingeführt. Die GPU nimmt fast die Hälfte der Die-Fläche in Anspruch und ist kompatibel zu DirectX 11.2, OpenGL 4.3 und der AMD-getriebenen 3D-Schnittstelle Mantle.[22]

Die APU besitzt eine erstmals im Desktop-Bereich umgesetzte heterogene Systemarchitektur (Heterogeneous System Architecture bzw. HSA), die die Zusammenarbeit von CPU und GPU über GPGPU hinaus verbessern soll. Hierbei wird der Grafikteil intensiver als bisher verwendet, um die Prozessorkerne bei ihren Berechnungen zu unterstützen. Dazu gehören der direkte Austausch von Informationen ohne Umweg über ein Betriebssystem (Heterogeneous Queuing bzw. hQ) und das Zugreifen auf denselben Adressraum des Arbeitsspeichers (Heterogeneous Uniform Memory Access oder hUMA), sodass Berechnungsergebnisse beiden Teilen schnell zur Verfügung stehen.[22] Darüber hinaus ist ein Audio-Coprozessor in die APU integriert.

Mittels Custom Thermal Design Power (cTDP) kann im BIOS die Thermal Design Power der CPU konfiguriert werden, dies ermöglicht es z. B. den A10-7850 mit 45 W oder 65 W TDP zu betreiben. Die Taktfrequenz der CPU wird dann in Abhängigkeit von der cTDP auf bestimmte Werte gedrosselt. Aktiv beworben wird dies im Moment nur für den A8-7600, welcher explizit mit 65 W und 45 W gelistet wird.

Durch eine nochmalige Überarbeitung kamen 2015 unter der Bezeichnung Kaveri-Refresh (inoffiziell Godavari) vor allem bei der integrierten Grafik optimierte APUs mit geringen Taktsteigerungen auf den Markt. Das Topmodell A10-7870K weist z.Bsp. gegenüber dem bisherigen A10-7850K beim CPU-Teil mit 200 MHz mehr Basis-Takt, aber nur 100 MHz mehr Turbo-Takt auf. Die Kaveri- und Kaveri-Refresh-APUs sind für den Sockel FM2+ ausgelegt und sollen nach den Empfehlungen des Herstellers mit den Fusion Controller Hubs A58, A68H, A78 oder A88X kombiniert werden.[53]

Modelle für den Desktop

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2GPU-
Modell
GPU-KonfigurationGPU-
Takt
(Turbo)
TDPTurbo
Core
3.0
SockelMarkt-
start
Speicher-
controller
ALUsShader-
Einheiten
Textur-
einheiten
ROPs
Athlon X4 83043,0 (3,4) GHz2 × 2 MB30deaktiviert65 WJaFM2+Qx/201yDDR3-1866
Athlon X4 8403,1 (3,8) GHz3165 WQ2/2014DDR3-1866
Athlon X4 860K3,7 (4,0) GHzoffen95 WQ2/2014DDR3-2133
Athlon X4 870K3,9 (4,1) GHzoffen95 WQ4/2015DDR3-2133
Athlon X4 880K4,0 (4,2) GHzoffen95 WQ1/2016DDR3-2133
A6-7400K23,5 (3,9) GHz1 MBoffenR5 Series25616× Vec16-SIMD248(756) MHz65 WQ2/2014DDR3-1866
A8-760043,1 (3,3) GHz
3,3 (3,8) GHz
2 × 2 MB33R7 2xxD38424× Vec16-SIMD248654 (720) MHz45 W
65 W
Q2/2014DDR3-2133
A8-7650K3,3 (3,7) GHzoffenR7 Spectre38424× Vec16-SIMD248654 (720) MHz95 WQ1/2015DDR3-2133
A8-7670K3,6 (3,9) GHzoffenR7 Spectre38424x Vec16-SIMD248654 (757) MHz95 WQ3/2015DDR3-2133
A10-7700K3,4 (3,8) GHzoffenR7 Spectre38424× Vec16-SIMD248654 (720) MHz95 WQ1/2014DDR3-2133
A10-78003,5 (3,9) GHz35R7 Spectre51232× Vec16-SIMD328654 (720) MHz65 WQ2/2014 (OEM)DDR3-2133
A10-7850K3,7 (4,0) GHzoffenR7 Spectre51232× Vec16-SIMD328654 (720) MHz95 WQ1/2014DDR3-2133
A10-7860K3,6 (4,0) GHzoffenR7 Spectre51232× Vec16-SIMD328757 (-) MHz65 WQ2/2016DDR3-2133
A10-7870K3,9 (4,1) GHzoffenR7 Spectre51232× Vec16-SIMD328866 (-) MHz95 WQ2/2015DDR3-2133
A10-7890K4,1 (4,3) GHzoffenR7 Spectre51232× Vec16-SIMD328866 (-) MHz95 WQ1/2016DDR3-2133
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Die CPUs mit dem Kürzel M (z. B. A10-5750M) sind Mobilprozessoren, Modelle mit angehängtem B sind Business-Modelle mit längerer garantierter Verfügbarkeit (z. B. A8-Pro 7600B). Diese werden mindestens 24 Monate verfügbar sein und die zugrundeliegende Software wird über 18 Monate keine Anpassungen erfahren, die für Aufwand bei der Administration der Rechner sorgen.[54]

Mit dem Kaveri- Codenamen hat AMD auch wie vom Athlon FX bekannt die Bezeichnung FX eingeführt, z. B. bei dem FX-7600P. Das P steht für 35 Watt TDP bei den Kaveris für Notebooks, während die Modelle ohne das Kürzel mit 19 Watt oder 17 Watt auskommen.

Die CPUs mit dem Kürzel K (z. B. A10-7700K) haben den Multiplikator nicht gesperrt, bekannt aus der Phenom-Serie in den Black-Edition-CPUs.

Excavator-basierte Modelle (Carrizo, Bristol Ridge)

Auf Excavator-CPU-Kernen basieren die Ein-Chip-Systeme mit dem Codenamen Carrizo.[55] Verschiedene Modelle mit aktivierter GPU wurden bereits 2015 vorgestellt; sie sind für den Einsatz in mobilen Computern vorgesehen. Im Februar 2016 und im Januar 2019 folgten mit dem Athlon X4 845 und dem A8-7680 zwei Carrizo-Modelle für den Einsatz in Desktopcomputern, deren Hauptplatine mit dem Sockel FM2+ ausgestattet ist.[56][57] Als Bristol Ridge sollen 2016 APUs für Desktopcomputer mit dem Sockel AM4 erscheinen.[58]

Mit Summit Ridge, basierend auf der Zen-Architektur, folgte dann eine komplett neue Prozessorentwicklung.

Modelle für den Desktop

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo)1
L2-CacheMulti2GPU-
Modell
GPU-KonfigurationGPU-
Takt
(Turbo)
TDPTurbo
Core
3.0
SockelMarkt-
start
Speicher-
controller
ALUsShader-
Einheiten
Textur-
einheiten
ROPs
Athlon X4 84543,5 (3,8) GHz2 × 1 MB35deaktiviert65 WJaFM2+Feb. 2016DDR3-2133
Athlon X4 9403,2 (3,6) GHz2 × 1 MB3265 WAM4Juli 2017DDR4-2400
Athlon X4 9503,5 (3,8) GHz2 × 1 MB3565 WJuli 2017DDR4-2400
Athlon X4 9703,8 (4,0) GHz2 × 1 MB3865 WJuli 2017DDR4-2400
A6-9500E23,0 (3,4) GHz1 × 1 MB30Radeon R525616× Vec16-SIMD168(800)35 WSep. 2016DDR4-2400
A6-95003,5 (3,8) GHz1 × 1 MB35Radeon R538424× Vec16-SIMD248(1029)65 WSep. 2016DDR4-2400
A6-95503,8 (4,0) GHz1 × 1 MB38Radeon R538424× Vec16-SIMD248(1029)65 WJuli 2017DDR4-2400
A8-768043,5 (3,8) GHz2 x 1 MB35Radeon R738424× Vec16-SIMD248(720)65 WFM2+Jan. 2019DDR3-2133
A8-96003,1 (3,4) GHz2 × 1 MB31Radeon R738424× Vec16-SIMD248(900)65 WAM4Sep. 2016DDR4-2400
A10-97003,5 (3,8) GHz2 × 1 MB35Radeon R738424× Vec16-SIMD248(1029)65 WSep. 2016DDR4-2400
A10-9700E3,0 (3,5) GHz2 × 1 MB30Radeon R738424× Vec16-SIMD248(847)35 WSep. 2016DDR4-2400
A12-9800E3,1 (3,8) GHz2 × 1 MB31Radeon R751232× Vec16-SIMD328(900)35 WSep. 2016DDR4-2400
A12-98003,8 (4,2) GHz2 × 1 MB38Radeon R751232× Vec16-SIMD328(1108)65 WSep. 2016DDR4-2400
1 Die höchste Taktfrequenz im Turbomodus wird nur mit der Hälfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne müssen dabei im Schlafmodus sein.
2 Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Weblinks

Einzelnachweise

  1. Fusion: AMD: Fusion heißt jetzt Fusion, heise.de, 16. September 2010.
  2. a b c Michael Günsch: AMDs „Fusion“-Ära beginnt heute. Computerbase, 4. Januar 2011.
  3. Homepage der „HSA Foundation“. Abgerufen am 21. Februar 2013 (englisch).
  4. Fusion: AMD zeigt Demo und nennt Termin, heise.de, 2. Juni 2010.
  5. AMD-bringt-neuen-Notebook-Prozessor. (Nicht mehr online verfügbar.) In: heise.de. Archiviert vom Original am 17. Juni 2011; abgerufen am 17. Juni 2011.
  6. Andreas Schilling: 2. APU-Generation: AMD stellt "Trinity"-Prozessoren vor. In: hardwareluxx.de. 15. Mai 2012, abgerufen am 17. April 2015.
  7. AMD Fusion Whitepaper. (PDF) (Nicht mehr online verfügbar.) Archiviert vom Original am 24. Januar 2011; abgerufen am 9. Dezember 2010.
  8. Nico Ernst: Prozessoren 2010: Die Fusion beginnt. In: Golem.de. 2. Januar 2010, abgerufen am 17. April 2015.
  9. Revision Guide for AMD Family 14h Models 00h-0Fh Processors. (PDF; 373 kB) In: amd.com. S. 4, abgerufen am 8. Mai 2012 (Aktuell (17. April 2015 wird auf eine andere AMD-Seite umgeleitet)).
  10. a b c Roland Neumeier (Opteron): AMD präsentiert Jaguar-Quad-Modul auf der ISSCC. In: Planet3DNow. 21. Februar 2013, abgerufen am 17. April 2015.
  11. Volker Rißka: AMDs „Jaguar“: Scharfe Krallen und Zähne auf 3,1 mm². In: Computerbase. 28. August 2012, abgerufen am 17. April 2015.
  12. Michael Grünsch: AMD zur ISSCC 2013: Weitere Details zu „Jaguar“. In: Computerbase. 20. Februar 2013, abgerufen am 17. April 2015.
  13. AMD stellt Beema- und Mullins-APUs für preiswerte Notebooks sowie Tablets offiziell vor - Planet 3DNow! Abgerufen am 12. November 2020 (deutsch).
  14. Anand Lal Shimpi: AMD Beema/Mullins Architecture & Performance Preview. In: anandtech. 29. April 2014, abgerufen am 17. April 2015 (englisch).
  15. turionpowercontrol. In: Google Project Hosting. Abgerufen am 5. August 2012 (englisch).
  16. Revision Guide for AMD Family 12h Processors, Seite 4, amd.com (PDF; 326 kB) abgerufen am 8. Mai 2012
  17. Whats new in AMD APP. (Nicht mehr online verfügbar.) In: AMD Blog. 21. Dezember 2010, archiviert vom Original am 16. Januar 2011; abgerufen am 16. Januar 2011 (englisch).
  18. Manne Kreuzer: Fusion bald für Embedded verfügbar? (Nicht mehr online verfügbar.) In: elektroniknet.de. 3. November 2010, archiviert vom Original am 10. November 2010; abgerufen am 17. April 2015.
  19. AMD Fusion Family of APUs: Enabling a Superior, Immersive PC Experience. (PDF) 2010, abgerufen am 9. Dezember 2010 (englisch, AMD-ID 48423B).
  20. Volker Rißka: AMDs Launchpläne für „Trinity“, „Brazos 2.0“,„Vishera“ und „Hondo“. In: Computerbase. 8. Mai 2012, abgerufen am 17. April 2015.
  21. Second-Generation AMD A-Series APUs Enable Best-in-Class PC Mobility, Entertainment, and Gaming Experience in Single Chip. 15. Mai 2012, abgerufen am 17. April 2015 (englisch).
  22. a b c d APU13: AMDs Kombiprozessor Kaveri mit 512 GPU-Kernen ab 14. Januar. In: heise.de. 12. November 2013, abgerufen am 17. April 2015.
  23. Mark Mantel: AMD Kaveri: Weitere Benchmarks des A10-7850K sowie A10-7800 aufgetaucht - 5-20 % schneller als A10-6800K? In: PC Games Hardware. 9. Januar 2014, abgerufen am 17. April 2015.
  24. Dustin Sklavos: AMD Kaveri A10-7850K: From DDR3-1600 to DDR3-2400. (Nicht mehr online verfügbar.) In: Corsair Blog. 23. Januar 2014, archiviert vom Original am 1. Februar 2014; abgerufen am 1. Februar 2014.
  25. Wolfgang Andermahr: AMDs Mantle für jedermann ist da. In: Computerbase. 30. Januar 2014, abgerufen am 17. April 2015.
  26. AMD-APU: Carrizo-L vorgestellt, Kaveri im Preis gesenkt - Artikel bei computerbase.de, vom 7. Mai 2015
  27. Zwei neue APUs von AMD zur CES 2013 vorgestellt, computerbase.de, 6. Januar 2013.
  28. Zwei neue APUs von AMD zur CES 2013 vorgestellt, computerbase.de, 6. Januar 2013.
  29. a b At-A-Glance Codename Decoder. (Nicht mehr online verfügbar.) AMD, archiviert vom Original am 12. Mai 2014; abgerufen am 14. September 2011.
  30. AMD Ontario: Monolithic System-on-Chip, 40nm Fabrication Process, xbitlabs.com, 20. April 2010. Archiviert vom Original am 28. Dezember 2010.Vorlage:Cite news/temporär
  31. AMD: Details der 2011 kommenden Prozessorkerne Bobcat und Bulldozer, 12. November 2009.
  32. Volker Rißka: AMD stellt drei neue Low-Power-APUs für Notebooks vor. In: Computerbase.de. 27. September 2012, abgerufen am 17. April 2015.
  33. AMD Embedded G-Series Platform. (PDF; 1,2 MB) (Nicht mehr online verfügbar.) 23. Mai 2011, archiviert vom Original am 4. Juni 2011; abgerufen am 4. Juni 2011.
  34. AMD Delivers the World’s First and Only APU for Embedded Systems, amd.com, 19. Januar 2011.Vorlage:Cite news/temporär
  35. Aurelius Wosylus, Holger Heller: Eine neue Prozessorgeneration für Embedded-Systeme. In: Elektronik Praxis. 6. Juli 2011, abgerufen am 17. April 2015.
  36. a b c AMD Embedded G-Series Platform Brief. (PDF; 1,3 MB) (Nicht mehr online verfügbar.) AMD, 12. Mai 2011, archiviert vom Original am 4. Juni 2011; abgerufen am 4. Juni 2011 (englisch).
  37. Zwei neue APUs von AMD zur CES 2013 vorgestellt, computerbase.de, 6. Januar 2013.
  38. a b HP 255 mit neuem AMD-SoC: HP enthüllt erste „Kabini“-Prozessoren für Notebooks, computerbase.de, 12. Mai 2013.
  39. Dr@: AMD ergänzt Kabini-Portfolio mit drei neuen Modellen, planet3dnow.de, 3. November 2013.
  40. a b c d AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life. In: AMD Newsroom. 23. Mai 2013, abgerufen am 17. April 2015 (englisch).
  41. a b c AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life, amd.com, 23. Mai 2013.Vorlage:Cite news/temporär
  42. AMD Expands Elite Mobility APU Line-Up with New Quad-Core Processor, amd.com, 9. November 2013.Vorlage:Cite news/temporär
  43. AMD Fusion: Stromspar-Feinheiten im 32-nm-Chip, heise.de, 9. Februar 2010.
  44. a b AMD Reveals More Llano Details at ISSCC: 32nm, Power Gating, 4-cores, Turbo?, anandtech.com, 8. Februar 2010.Vorlage:Cite news/temporär
  45. Volker Rißka, Patrick Bellmer: Das leistet AMDs A8-4500M mit HD 7670M. In: Computerbase.de. 12. Mai 2012, abgerufen am 17. April 2015.
  46. Trinity: AMD legt Desktop-CPUs nach. In: dslteam.de. 2. Oktober 2012, abgerufen am 17. April 2015.
  47. computerbase.de
  48. Marc Sauter: Computex 2012: AMD stellt die Desktop-Trinitys und Brazos 2.0 offiziell vor und zeigt einen Notebook-Tablet-Hybriden. In: PCGamesHardware. 6. Juni 2012, abgerufen am 17. April 2015.
  49. AMD bringt neue Desktop-Prozessoren. In: heise.de. 5. Juni 2013, abgerufen am 17. April 2015.
  50. AMD Athlon X2 370K specifications. In: cpu-world.com. Abgerufen am 17. April 2015 (englisch).
  51. AMD Athlon X2 340 specifications. In: cpu-world.com. Abgerufen am 17. April 2015 (englisch).
  52. AMD A4-7300 specifications. In: cpu-world.com. Abgerufen am 18. Januar 2022 (englisch).
  53. Kevin Carbotte: Don’t Call It 'Godavari'; AMD Updates Kaveri APUs With DX12, FreeSync And VSR Support In: tom’s HARDWARE, 29. Mai 2015.
  54. AMD FX-7600P „Kaveri“ im Test - Erster Eindruck zur Notebook-APU, computerbase.de, 4. Juni 2014.
  55. AMD: AMD Discloses Architecture Details of High-Performance, Energy-Efficient “Carrizo” System-on-Chip, Pressemitteilung vom 23. Februar 2015.
  56. Marc Sauter: AMD veröffentlicht Carrizo für Sockel FM2+ In: golem.de, 2. Februar 2016.
  57. Aljoscha Reineking: AMD Carrizo: Neue FM2+-APU A8-7680 vorgestellt. Abgerufen am 13. Oktober 2020.
  58. kitguru.net

Auf dieser Seite verwendete Medien

Acer.aspire-522.amd-fusion.ubuntu 1c555 7117.jpg
(c) http://laptopdemon.com, CC BY-SA 3.0
Acer Aspire One 522融合於背景顏色的匿蹤效果。
AMD Logo.svg
AMD corporate logo in use since 2013.
Yes check.svg
Yes check (slightly gradiented)
AMD CMC60.jpg
Autor/Urheber: Brit robin, Lizenz: CC BY-SA 4.0
AMD CMC60
Red x.svg
Red "X"/Cross logic icon.